Follow
Peng Li
Peng Li
PostDoc of Computer Science, UCLA
Verified email at cs.ucla.edu - Homepage
Title
Cited by
Cited by
Year
Optimizing FPGA-based accelerator design for deep convolutional neural networks
C Zhang, P Li, G Sun, Y Guan, B Xiao, J Cong
Proceedings of the 2015 ACM/SIGDA international symposium on field …, 2015
23632015
Memory partitioning for multidimensional arrays in high-level synthesis
Y Wang, P Li, P Zhang, C Zhang, J Cong
Proceedings of the 50th Annual Design Automation Conference, 1-8, 2013
1202013
Improving high level synthesis optimization opportunity through polyhedral transformations
W Zuo, Y Liang, P Li, K Rupnow, D Chen, J Cong
Proceedings of the ACM/SIGDA international symposium on Field programmable …, 2013
1092013
Theory and algorithm for generalized memory partitioning in high-level synthesis
Y Wang, P Li, J Cong
Proceedings of the 2014 ACM/SIGDA international symposium on Field …, 2014
972014
Improving polyhedral code generation for high-level synthesis
W Zuo, P Li, D Chen, LN Pouchet, S Zhong, J Cong
2013 International Conference on Hardware/Software Codesign and System …, 2013
742013
An optimal microarchitecture for stencil computation acceleration based on non-uniform partitioning of data reuse buffers
J Cong, P Li, B Xiao, P Zhang
Proceedings of the 51st annual design automation conference, 1-6, 2014
662014
HLScope+: Fast and accurate performance estimation for FPGA HLS
Y Choi, P Zhang, P Li, J Cong
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 691-698, 2017
512017
Resource-aware throughput optimization for high-level synthesis
P Li, P Zhang, LN Pouchet, J Cong
Proceedings of the 2015 ACM/SIGDA International Symposium on Field …, 2015
482015
More on three-dimensional systems of rational difference equations
L Keying, Z Zhongjian, L Xiaorui, L Peng
Discrete Dynamics in Nature and Society 2011, 2011
442011
Memory partitioning and scheduling co-optimization in behavioral synthesis
P Li, Y Wang, P Zhang, G Luo, T Wang, J Cong
Proceedings of the international conference on computer-aided design, 488-495, 2012
402012
Accelerating HMMer on FPGAs using systolic array based architecture
Y Sun, P Li, G Gu, Y Wen, Y Liu, D Liu
2009 Ieee International Symposium on Parallel & Distributed Processing, 1-8, 2009
352009
Charge stripping accumulation of light heavy ions in HIRFL-CSR main ring
Y You-Jin, X Jia-Wen, L Peng, Y Xiao-Dong
Chinese Physics C 32 (9), 754, 2008
322008
Heterogeneous multi-channel: Fine-grained dram control for both system performance and power efficiency
G Zhang, H Wang, X Chen, S Huang, P Li
Proceedings of the 49th Annual Design Automation Conference, 876-881, 2012
232012
Throughput optimization for high-level synthesis using resource constraints
P Li, LN Pouchet, J Cong
Int. Workshop on Polyhedral Compilation Techniques (IMPACT’14), 2014
222014
The biological relationship of Gastrodia elata and Armillaria mellea.
WJ Zhang, BF Li
Acta Botanica Sinica 22 (1), 57-62, 1980
221980
Corrosion behaviors of Cr13Ni5Si2 based composite coatings prepared by laser-induction hybrid cladding
DZ Wang, P Li, K Kang, C Zhang, J Yin, M Jiang, QW Hu, XY Zeng
Surface and Coatings Technology 300, 128-134, 2016
172016
SBAC: A statistics based cache bypassing method for asymmetric-access caches
C Zhang, G Sun, P Li, T Wang, D Niu, Y Chen
Proceedings of the 2014 international symposium on Low power electronics and …, 2014
172014
Simulation of loss of uranium ions due to charge changing processes in the CSRm ring
WH Zheng, JC Yang, P Li, ZS Li, P Shang, GF Qu, WW Ge, MT Tang, ...
Chinese Physics C 39 (4), 047005, 2015
162015
Statistical cache bypassing for non-volatile memory
G Sun, C Zhang, P Li, T Wang, Y Chen
IEEE Transactions on Computers 65 (11), 3427-3440, 2016
152016
Performance characterization and acceleration of optical character recognition on handheld platforms
S Srinivasan, L Zhao, L Sun, Z Fang, P Li, T Wang, R Iyer, R Illikkal, D Liu
IEEE International Symposium on Workload Characterization (IISWC'10), 1-10, 2010
122010
The system can't perform the operation now. Try again later.
Articles 1–20