Подписаться
Chaochao Feng
Chaochao Feng
Assistant professor of Electronic Engineering, National University of Defense Technology
Подтвержден адрес электронной почты в домене nudt.edu.cn
Название
Процитировано
Процитировано
Год
Methods for fault tolerance in networks-on-chip
M Radetzki, C Feng, X Zhao, A Jantsch
ACM Computing Surveys (CSUR) 46 (1), 1-38, 2013
2702013
Addressing transient and permanent faults in NoC with efficient fault-tolerant deflection router
C Feng, Z Lu, A Jantsch, M Zhang, Z Xing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21 (6 …, 2012
1282012
A reconfigurable fault-tolerant deflection routing algorithm based on reinforcement learning for network-on-chip
C Feng, Z Lu, A Jantsch, J Li, M Zhang
Proceedings of the Third International Workshop on Network on Chip …, 2010
1102010
FoN: Fault-on-Neighbor aware routing algorithm for Networks-on-Chip
C Feng, Z Lu, A Jantsch, J Li, M Zhang
23rd IEEE International SoC Conference, 441-446, 2010
592010
A low-overhead fault-aware deflection routing algorithm for 3D network-on-chip
C Feng, M Zhang, J Li, J Jiang, Z Lu, A Jantsch
2011 IEEE Computer Society Annual Symposium on VLSI, 19-24, 2011
442011
Mobile relay deployment in multihop relay networks
Z Liao, J Liang, C Feng
Computer Communications 112, 14-21, 2017
402017
A 1-cycle 1.25 GHz bufferless router for 3D network-on-chip
C Feng, Z Lu, A Jantsch, M Zhang
IEICE transactions on information and systems 95 (5), 1519-1522, 2012
242012
Evaluation of deflection routing on various NoC topologies
C Feng, J Li, Z Lu, A Jantsch, M Zhang
2011 9th IEEE International Conference on ASIC, 163-166, 2011
152011
Support efficient and fault-tolerant multicast in bufferless network-on-chip
C Feng, Z Lu, A Jantsch, M Zhang, X Yang
IEICE transactions on information and systems 95 (4), 1052-1061, 2012
112012
A low-overhead multicast bufferless router with reconfigurable banyan network
C Feng, Z Liao, Z Zhao, X He
2018 Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), 1-8, 2018
92018
Performance analysis of on-chip bufferless router with multi-ejection ports
C Feng, Z Liao, Z Lu, A Jantsch, Z Zhao
2015 IEEE 11th International Conference on ASIC (ASICON), 1-4, 2015
92015
A machine learning framework with feature selection for floorplan acceleration in ic physical design
SZ Zhang, ZY Zhao, CC Feng, L Wang
Journal of Computer Science and Technology 35, 468-474, 2020
62020
A Parameterized Timing-aware Flip-flop Merging Algorithm for Clock Power Reduction
C Feng, D Yue, Z Zhao, Z Liao
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2018
52018
一种可配置双向链路的片上网络容错偏转路由器
冯超超, 张民选, 李晋文, 戴艺
计算机研究与发展 51 (2), 454-463, 2014
52014
A 1-cycle 2 GHz bufferless router for network-on-chip
CC Feng, Z Lu, MX Zhang, JW Li
Guofang Keji Daxue Xuebao/Journal of National University of Defense …, 2011
52011
Machine-learning-based multi-corner timing prediction for faster timing closure
Z Zhao, S Zhang, G Liu, C Feng, T Yang, A Han, L Wang
Electronics 11 (10), 1571, 2022
42022
Stage-based path delay prediction with customized machine learning technique
A Han, Z Zhao, C Feng, S Zhang
Proceedings of the 2021 5th International Conference on Electronic …, 2021
42021
Mobile relay deployment based on Markov chains in WiMAX networks
Z Liao, X Zhang, C Feng
2014 IEEE Global Communications Conference, 4508-4513, 2014
42014
An improved high fan-in Domino circuit for high performance microprocessors
F Chaochao, C Xun, Y Xiaofei, Z Minxuan
Journal of Semiconductors 29 (9), 1740-1744, 2008
42008
Partitioning methods for multicast in bufferless 3d network on chip
C Yao, C Feng, M Zhang, W Guo, S Zhu, S Wei
CCF National Conference on Compujter Engineering and Technology, 13-22, 2015
32015
В данный момент система не может выполнить эту операцию. Повторите попытку позднее.
Статьи 1–20