Подписаться
Mingoo Seok
Mingoo Seok
Columbia University, Electrical Engineering
Подтвержден адрес электронной почты в домене ee.columbia.edu - Главная страница
Название
Процитировано
Процитировано
Год
XNOR-SRAM: In-memory computing SRAM macro for binary/ternary deep neural networks
S Yin, Z Jiang, JS Seo, M Seok
IEEE Journal of Solid-State Circuits 55 (6), 1733-1743, 2020
4502020
A portable 2-transistor picowatt temperature-compensated voltage reference operating at 0.5 V
M Seok, G Kim, D Blaauw, D Sylvester
IEEE Journal of Solid-State Circuits 47 (10), 2534-2545, 2012
4212012
A cubic-millimeter energy-autonomous wireless intraocular pressure monitor
G Chen, H Ghaed, R Haque, M Wieckowski, Y Kim, G Kim, D Fick, D Kim, ...
Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2011 …, 2011
2712011
Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells
G Chen, M Fojtik, D Kim, D Fick, J Park, M Seok, MT Chen, Z Foo, ...
Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2010 …, 2010
2622010
A low-voltage processor for sensing applications with picowatt standby mode
S Hanson, M Seok, YS Lin, ZY Foo, D Kim, Y Lee, N Liu, D Sylvester, ...
Solid-State Circuits, IEEE Journal of 44 (4), 1145-1155, 2009
2202009
The Phoenix Processor: A 30pW platform for sensor applications
M Seok, S Hanson, YS Lin, Z Foo, D Kim, Y Lee, N Liu, D Sylvester, ...
VLSI Circuits, 2008 IEEE Symposium on, 188-189, 2008
2172008
C3SRAM: An in-memory-computing SRAM macro based on robust capacitive coupling computing mechanism
Z Jiang, S Yin, JS Seo, M Seok
IEEE Journal of Solid-State Circuits 55 (7), 1888-1897, 2020
1982020
Exploring variability and performance in a sub-200-mV processor
S Hanson, B Zhai, M Seok, B Cline, K Zhou, M Singhal, M Minuth, J Olson, ...
Solid-State Circuits, IEEE Journal of 43 (4), 881-891, 2008
1942008
Nanometer device scaling in subthreshold logic and SRAM
S Hanson, M Seok, D Sylvester, D Blaauw
IEEE Transactions on Electron Devices 55 (1), 175-185, 2007
1602007
Circuits for a cubic-millimeter energy-autonomous wireless intraocular pressure monitor
MH Ghaed, G Chen, R Haque, M Wieckowski, Y Kim, G Kim, Y Lee, I Lee, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 60 (12), 3152-3162, 2013
1342013
A millimeter-scale energy-autonomous sensor system with stacked battery and solar cells
M Fojtik, D Kim, G Chen, YS Lin, D Fick, J Park, M Seok, MT Chen, Z Foo, ...
IEEE Journal of Solid-State Circuits 48 (3), 801-813, 2013
1292013
A Super-Pipelined Energy Efficient Subthreshold 240 MS/s FFT Core in 65 nm CMOS
D Jeon, M Seok, C Chakrabarti, D Blaauw, D Sylvester
Solid-State Circuits, IEEE Journal of, 1-1, 2012
1092012
Variation-tolerant, ultra-low-voltage microprocessor with a low-overhead, within-a-cycle in-situ timing-error detection and correction technique
S Kim, M Seok
IEEE Journal of Solid-State Circuits 50 (6), 1478-1490, 2015
1072015
Performance and variability optimization strategies in a sub-200mV, 3.5 pJ/inst, 11nW subthreshold processor
S Hanson, B Zhai, M Seok, B Cline, K Zhou, M Singhal, M Minuth, J Olson, ...
VLSI Circuits, 2007 IEEE Symposium on, 152-153, 2007
1012007
Energy-efficient hybrid analog/digital approximate computation in continuous time
N Guo, Y Huang, T Mai, S Patil, C Cao, M Seok, S Sethumadhavan, ...
IEEE Journal of Solid-State Circuits 51 (7), 1514-1524, 2016
922016
Ultra-compact and robust physically unclonable function based on voltage-compensated proportional-to-absolute-temperature voltage generators
J Li, M Seok
IEEE Journal of Solid-State Circuits 51 (9), 2192-2202, 2016
892016
A 0.27 V 30MHz 17.7 nJ/transform 1024-pt complex FFT core with super-pipelining
M Seok, D Jeon, C Chakrabarti, D Blaauw, D Sylvester
Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2011 …, 2011
872011
A hybrid DC-DC converter for sub-microwatt sub-1v implantable applications
M Wieckowski, GK Chen, M Seok, D Blaauw, D Sylvester
VLSI Circuits, 2009 Symposium on, 166-167, 2009
862009
8.2 fully integrated low-drop-out regulator based on event-driven PI control
D Kim, M Seok
2016 IEEE International Solid-State Circuits Conference (ISSCC), 148-149, 2016
812016
A 1μW voice activity detector using analog feature extraction and digital deep neural network
M Yang, CH Yeh, Y Zhou, JP Cerqueira, AA Lazar, M Seok
2018 IEEE International Solid-State Circuits Conference-(ISSCC), 346-348, 2018
782018
В данный момент система не может выполнить эту операцию. Повторите попытку позднее.
Статьи 1–20