Follow
Haoyun Jiang
Haoyun Jiang
Verified email at pku.edu.cn
Title
Cited by
Cited by
Year
A 0.5-V 3.69-nW complementary source-follower-C based low-pass filter for wearable biomedical applications
Z Liu, Y Tan, H Li, H Jiang, J Liu, H Liao
IEEE Transactions on Circuits and Systems I: Regular Papers 67 (12), 4370-4381, 2020
402020
A 12-GHz calibration-free all-digital PLL for FMCW signal generation with 78 MHz/μs chirp slope and high chirp linearity
Z Shen, H Jiang, H Li, Z Zhang, F Yang, J Liu, H Liao
IEEE Transactions on Circuits and Systems I: Regular Papers 67 (12), 4445-4456, 2020
152020
32.5 A 24GHz self-calibrated ADPLL-based FMCW synthesizer with 0.01% rms frequency error under 3.2 GHz chirp bandwidth and 320MHz/μs slope
Z Shen, H Jiang, F Yang, Y Wang, Z Zhang, J Liu, H Liao
2021 IEEE International Solid-State Circuits Conference (ISSCC) 64, 450-452, 2021
132021
A 12-GHz all-digital calibration-free FMCW signal generator based on a retiming fractional frequency divider
Z Shen, H Li, H Jiang, Z Zhang, J Liu, H Liao
2019 IEEE Asian Solid-State Circuits Conference (A-SSCC), 287-290, 2019
92019
A 0.5-V ultra-low-power low-pass filter with a bulk-feedback technique
Z Liu, Z Shen, Y Tan, H Jiang, H Li, J Liu, H Liao
2019 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2019
92019
A 43.2 μW 2.4 GHz 64-QAM pseudo-backscatter modulator based on integrated directional coupler
X Hao, H Zhang, Z Shen, Z Liu, L Zhang, H Jiang, J Liu, H Liao
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2018
82018
A 1.3-µW− 0.3/+ 0.27° C Inaccuracy Fully-integrated Temperature Sensor Based on a Pre-Charge Relaxation Oscillator for IoT applications
Y Tan, Z Liu, X Hao, Z Shen, H Jiang, F Tian, J Liu
2019 IEEE Asia-Pacific Microwave Conference (APMC), 42-44, 2019
72019
A 28 GHz 8-bit calibration-free lo-path phase shifter using transformer-based vector summing topology in 40 nm CMOS
Z Shen, Z Liu, H Jiang, Y Tan, H Li, X Hao, J Liu, H Liao
2019 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2019
62019
A calibration-free fractional-N ADPLL using retiming architecture and a 9-bit 0.3 ps-INL phase interpolator
H Jiang, Z Zhang, Z Shen, X Hao, Z Liu, H Li, Y Tan, Q Zhou, J Liu, H Liao
2019 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2019
42019
A 2.4‐mW interference‐resilient receiver front end with series N‐path filter–based balun for body channel communication
Z Liu, Y Zheng, J Wang, X Hao, H Jiang, F Yang, J Liu, H Liao
International Journal of Circuit Theory and Applications 46 (11), 2118-2133, 2018
42018
A 12-bit 2.5 GHz 0.37 ps-Peak-INL digital-to-time converter with parasitic-insensitive charge-based phase interpolator
H Jiang, Z Liu, X Hao, Z Zhang, Z Shen, H Li, J Liu, H Liao
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2018
42018
A 24 GHz Self-Calibrated All-Digital FMCW Synthesizer With 0.01% RMS Frequency Error Under 3.2 GHz Chirp Bandwidth and 320 MHz/µs Chirp Slope
Z Shen, H Jiang, F Yang, Y Wang, Z Zhang, J Liu, H Liao
IEEE Journal of Solid-State Circuits 57 (7), 2167-2180, 2021
32021
A 12-bit 0.5–2.4-GHz 0.65°-peak-INL parasitic-insensitive digital-to-phase converter
H Jiang, Z Shen, D Wang, X Hao, Z Liu, Y Tan, J Liu, H Liao
IEEE Microwave and Wireless Components Letters 31 (1), 68-71, 2020
32020
A low power SAW-less 2.4-GHz receiver with an LC matched series N-path filter
Z Liu, H Li, H Jiang, X Hao, Y Tan, J Liu, H Liao
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2018
22018
An 89 μW MICS/ISM band receiver for ultra-low-power applications
Z Liu, F Yang, H Jiang, X Hao, J Liu, H Liao
2017 IEEE International Symposium on Circuits and Systems (ISCAS), 1-4, 2017
22017
A digital phase noise cancelling scheme for ring oscillator-based fractional-N ADPLL
Z Zhang, H Li, F Yang, H Jiang, J Liu, H Liao
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2018
12018
A 2.85-mm Wideband RF Transceiver in 40-nm CMOS for IoT Micro-Hub Applications
D Wang, Z Liu, Y Tan, C Xu, H Li, H Jiang, X Bao, Y Wang, J Liu, H Liao
IEEE Transactions on Circuits and Systems I: Regular Papers, 2023
2023
An All-Digital Outphasing Transmitter IC for Ka-Band Bit-to-RF Concurrent Multi-Beam DBF Array
D Wang, J Zhou, H Xu, N Zhang, X Su, Z Shen, H Jiang, F Yang, Y Wang, ...
2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2023
2023
A 2.85mm2 RF Transceiver in 40nm CMOS for IoT Micro-Hub Applications
Z Liu, Y Tan, C Xu, H Li, H Jiang, X Bao, D Wang, J Liu, H Liao
2021 IEEE Asian Solid-State Circuits Conference (A-SSCC), 1-3, 2021
2021
A Low Power 0.4-1 Ghz Receiver Front-End with an Enhanced Third-Order-Harmonic-Rejecting Series N-Path Filter
Z Liu, H Li, Y Tan, H Jiang, J Liu, H Liao
2019 China Semiconductor Technology International Conference (CSTIC), 1-3, 2019
2019
The system can't perform the operation now. Try again later.
Articles 1–20