Follow
Woo-Cheol Kwon
Woo-Cheol Kwon
Ph.D. Student in Computer Science, MIT
Verified email at mit.edu
Title
Cited by
Cited by
Year
Optimal voltage allocation techniques for dynamically variable voltage processors
WC Kwon, T Kim
ACM Transactions on Embedded Computing Systems (TECS) 4 (1), 211-230, 2005
3072005
SCORPIO: A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering
BK Daya, CHO Chen, S Subramanian, WC Kwon, S Park, T Krishna, ...
ACM SIGARCH Computer Architecture News 42 (3), 25-36, 2014
1662014
Breaking the on-chip latency barrier using SMART
T Krishna, CHO Chen, WC Kwon, LS Peh
2013 IEEE 19th International Symposium on High Performance Computer …, 2013
1482013
Smart: Single-cycle multihop traversals over a shared network on chip
T Krishna, CHO Chen, WC Kwon, LS Peh
IEEE micro 34 (3), 43-56, 2014
712014
Approximation of curvature-constrained shortest paths through a sequence of points
JH Lee, O Cheong, WC Kwon, SY Shin, KY Chwa
Algorithms-ESA 2000: 8th Annual European Symposium Saarbrücken, Germany …, 2000
372000
In-network reorder buffer to improve overall NoC performance while resolving the in-order requirement problem
WC Kwon, S Yoo, J Um, SW Jeong
2009 Design, Automation & Test in Europe Conference & Exhibition, 1058-1063, 2009
362009
Memory interleaving device to re-order messages from slave IPS and a method of using a re-order buffer to reorder messages from slave IPS
WC Kwon, JG Yun, S Hong
US Patent 8,886,861, 2014
352014
Single-cycle multihop asynchronous repeated traversal: A smart future for reconfigurable on-chip networks
T Krishna, CHO Chen, S Park, WC Kwon, S Subramanian, ...
Computer 46 (10), 48-55, 2013
332013
A practical approach of memory access parallelization to exploit multiple off-chip DDR memories
WC Kwon, S Yoo, SM Hong, B Min, KM Choi, SK Eo
Proceedings of the 45th annual Design Automation Conference, 447-452, 2008
242008
System on chip improving data traffic and operating method thereof
WC Kwon, JG Yun, B Jeong, JH Um, HJ Kang, KW Cheol, YJ Geun, ...
US Patent 8,943,249, 2015
192015
Locality-oblivious cache organization leveraging single-cycle multi-hop NoCs
WC Kwon, T Krishna, LS Peh
Architectural support for programming languages and operating systems …, 2014
112014
A universal ordered NoC design platform for shared-memory MPSoC
WC Kwon, LS Peh
Computer-Aided Design (ICCAD), 2015 IEEE/ACM International Conference on …, 2015
92015
A systematic IP and bus subsystem modeling for platform-based system design
J Um, WC Kwon, S Hong, YT Kim, KM Choi, JT Kong, SK Eo, T Kim
Proceedings of the Design Automation & Test in Europe Conference 1, 5 pp., 2006
72006
Asynchronous upsizing circuit in data processing system
J Yun, J Um, W Kwon, HJ Kang, B Jeong
US Patent 8,443,122, 2013
62013
An open-loop flow control scheme based on the accurate global information of on-chip communication
WC Kwon, SM Hong, S Yoo, B Min, KM Choi, SK Eo
Proceedings of the conference on Design, automation and test in Europe, 1244 …, 2008
62008
Interface Devices And Systems Including The Same
JG Yun, JH Um, HU Jung, S Hong, JS Lee, HJ Kang, LL Liao, W Kwon
US Patent App. 13/287,339, 2012
42012
Scorpio: 36-core shared memory processor demonstrating snoopy coherence on a mesh interconnect
CHO Chen, S Park, S Subramanian, T Krishna, B Daya, WC Kwon, ...
2014 IEEE Hot Chips 26 Symposium (HCS), 1-20, 2014
32014
A Systematic Transaction Level Modeling and Verification
J Um, W Kwon, H Jin, K Choi, J Kong, S Eo, T Kim
DVCON, 2006
22006
System level architecture evaluation and optimization: an industrial case study with AMBA3 AXI
JE Lee, WC Kwon, TH Kim, EY Chung, KM Choi, JT Kong, SK Eo, D Gwilt
JSTS: Journal of Semiconductor Technology and Science 5 (4), 229-236, 2005
22005
Co-design of on-chip caches and networks for scalable shared-memory many-core CMPs
WC Kwon
Massachusetts Institute of Technology, 2018
12018
The system can't perform the operation now. Try again later.
Articles 1–20