Follow
Farid N. Najm
Farid N. Najm
Professor of Electrical & Computer Engineering, University of Toronto
Verified email at utoronto.ca
Title
Cited by
Cited by
Year
A survey of power estimation techniques in VLSI circuits
FN Najm
IEEE transactions on very large scale integration (VLSI) systems 2 (4), 446-455, 1994
10151994
Transition density: A new measure of activity in digital circuits
FN Najm
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1993
6021993
A Monte Carlo approach for power estimation
R Burch, FN Najm, P Yang, TN Trick
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 1 (1), 63-71, 1993
5391993
A gate-level leakage power reduction method for ultra-low-power CMOS circuits
JP Halter, FN Najm
Proceedings of CICC 97-Custom Integrated Circuits Conference, 475-478, 1997
3891997
A multigrid-like technique for power grid analysis
JN Kozhaya, SR Nassif, FN Najm
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2002
3452002
Transition density, a stochastic measure of activity in digital circuits
FN Najm
Proceedings of the 28th ACM/IEEE Design Automation Conference, 644-649, 1991
3361991
Circuit simulation
FN Najm
John Wiley & Sons, 2010
3012010
Low-leakage asymmetric-cell SRAM
N Azizi, A Moshovos, FN Najm
Proceedings of the 2002 international symposium on Low power electronics and …, 2002
2782002
Probabilistic simulation for reliability analysis of CMOS VLSI circuits
FN Najm, R Burch, P Yang, IN Hajj
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1990
2651990
Power macromodeling for high level power estimation
S Gupta, FN Najm
Proceedings of the 34th annual Design Automation Conference, 365-370, 1997
2541997
Statistical estimation of the switching activity in digital circuits
MG Xakellis, FN Najm
Proceedings of the 31st annual Design Automation Conference, 728-733, 1994
2511994
Active leakage power optimization for FPGAs
JH Anderson, FN Najm, T Tuan
Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field …, 2004
2262004
Pattern independent maximum current estimation in power and ground buses of CMOS VLSI circuits: Algorithms, signal correlations, and their resolution
H Kriplani, FN Najm, IN Hajj
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1995
2081995
Towards a high-level power estimation capability [digital ICs]
M Nemani, FN Najm
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1996
2041996
Power modeling for high-level power estimation
S Gupta, FN Najm
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 8 (1), 18-29, 2000
1772000
Power estimation techniques for FPGAs
JH Anderson, FN Najm
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 12 (10 …, 2004
1602004
High-level area and power estimation for VLSI circuits
M Nemani, FN Najm
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1999
1551999
Power estimation in sequential circuits
FN Najm, S Goel, IN Hajj
Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, 635-640, 1995
1331995
A static pattern-independent technique for power grid voltage integrity verification
D Kouroussis, FN Najm
Proceedings of the 40th annual Design Automation Conference, 99-104, 2003
1122003
McPOWER: A Monte Carlo approach to power estimation
Burch, Najm, Yang, Trick
1992 IEEE/ACM International Conference on Computer-Aided Design, 90-97, 1992
1091992
The system can't perform the operation now. Try again later.
Articles 1–20