Follow
Nandhini Chandramoorthy
Nandhini Chandramoorthy
IBM TJ Watson Research Center
Verified email at ibm.com - Homepage
Title
Cited by
Cited by
Year
Pairwise coupled hybrid vanadium dioxide-MOSFET (HVFET) oscillators for non-boolean associative computing
N Shukla, A Parihar, M Cotter, M Barth, X Li, N Chandramoorthy, H Paik, ...
2014 IEEE international electron devices meeting, 28.7. 1-28.7. 4, 2014
922014
GaaS-X: Graph analytics accelerator supporting sparse data representation using crossbar architectures
N Challapalle, S Rampalli, L Song, N Chandramoorthy, K Swaminathan, ...
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
592020
Accelerating neuromorphic vision algorithms for recognition
AA Maashri, M Debole, M Cotter, N Chandramoorthy, Y Xiao, ...
Proceedings of the 49th annual design automation conference, 579-584, 2012
542012
Resilient low voltage accelerators for high energy efficiency
N Chandramoorthy, K Swaminathan, M Cochet, A Paidimarri, S Eldridge, ...
2019 IEEE International Symposium on High Performance Computer Architecture …, 2019
532019
Enabling new computation paradigms with HyperFET-an emerging device
WY Tsai, X Li, M Jerry, B Xie, N Shukla, H Liu, N Chandramoorthy, ...
IEEE Transactions on Multi-Scale Computing Systems 2 (1), 30-48, 2016
412016
Exploring architectural heterogeneity in intelligent vision systems
N Chandramoorthy, G Tagliavini, K Irick, A Pullini, S Advani, S Al Habsi, ...
2015 IEEE 21st International Symposium on High Performance Computer …, 2015
362015
Bit error robustness for energy-efficient dnn accelerators
D Stutz, N Chandramoorthy, M Hein, B Schiele
Proceedings of Machine Learning and Systems 3, 569-598, 2021
352021
Bravo: Balanced reliability-aware voltage optimization
K Swaminathan, N Chandramoorthy, CY Cher, R Bertran, ...
2017 IEEE International Symposium on High Performance Computer Architecture …, 2017
352017
Modeling steep slope devices: From circuits to architectures
K Swaminathan, MS Kim, N Chandramoorthy, B Sedighi, R Perricone, ...
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2014
282014
System-on-chip for biologically inspired vision applications
S Park, A Al Maashri, KM Irick, A Chandrashekhar, M Cotter, ...
IPSJ Transactions on System and LSI Design Methodology 5, 71-95, 2012
212012
Crossbar based processing in memory accelerator architecture for graph convolutional networks
N Challapalle, K Swaminathan, N Chandramoorthy, V Narayanan
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2021
192021
A 12nm agile-designed SoC for swarm-based perception with heterogeneous IP blocks, a reconfigurable memory hierarchy, and an 800MHz multi-plane NoC
T Jia, P Mantovani, MC Dos Santos, D Giri, J Zuckerman, EJ Loscalzo, ...
ESSCIRC 2022-IEEE 48th European Solid State Circuits Conference (ESSCIRC …, 2022
162022
Energy efficiency boost in the AI-infused POWER10 processor
BW Thompto, DQ Nguyen, JE Moreira, R Bertran, H Jacobson, ...
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture …, 2021
122021
Hardware acceleration for neuromorphic vision algorithms
A Al Maashri, M Cotter, N Chandramoorthy, M DeBole, CL Yu, ...
Journal of Signal Processing Systems 70, 163-175, 2013
122013
Very low voltage (VLV) design
R Bertran, P Bose, D Brooks, J Burns, A Buyuktosunoglu, ...
2017 IEEE International Conference on Computer Design (ICCD), 601-604, 2017
112017
Refresh Enabled Video Analytics (REVA): Implications on power and performance of DRAM supported embedded visual systems
S Advani, N Chandramoorthy, K Swaminathan, K Irick, YCP Cho, ...
2014 IEEE 32nd International Conference on Computer Design (ICCD), 501-504, 2014
112014
Random and adversarial bit error robustness: Energy-efficient and secure DNN accelerators
D Stutz, N Chandramoorthy, M Hein, B Schiele
IEEE Transactions on Pattern Analysis and Machine Intelligence 45 (3), 3632-3647, 2022
102022
Analyzing and improving resilience and robustness of autonomous systems
Z Wan, K Swaminathan, PY Chen, N Chandramoorthy, A Raychowdhury
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided …, 2022
92022
Ariane+ NVDLA: seamless third-party IP integration with ESP
D Giri, KL Chiu, G Eichler, P Mantovani, N Chandramoorth, LP Carloni
Workshop on Computer Architecture Research with RISC-V (CARRV), 2020
82020
Understanding the landscape of accelerators for vision
N Chandramoorthy, K Swaminathan, M Cotter, X Li, I Palit, S Hu, ...
2014 IEEE Workshop on Signal Processing Systems (SiPS), 1-6, 2014
62014
The system can't perform the operation now. Try again later.
Articles 1–20