Follow
Joseph Zuckerman
Title
Cited by
Cited by
Year
Agile SoC development with open ESP
P Mantovani, D Giri, G Di Guglielmo, L Piccolboni, J Zuckerman, EG Cota, ...
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
1052020
Cohmeleon: Learning-based orchestration of accelerator coherence in heterogeneous SoCs
J Zuckerman, D Giri, J Kwon, P Mantovani, LP Carloni
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
182021
A 12nm agile-designed SoC for swarm-based perception with heterogeneous IP blocks, a reconfigurable memory hierarchy, and an 800MHz multi-plane NoC
T Jia, P Mantovani, MC Dos Santos, D Giri, J Zuckerman, EJ Loscalzo, ...
ESSCIRC 2022-IEEE 48th European Solid State Circuits Conference (ESSCIRC …, 2022
172022
Enabling heterogeneous, multicore soc research with RISC-V and ESP
J Zuckerman, P Mantovani, D Giri, LP Carloni
arXiv preprint arXiv:2206.01901, 2022
72022
22.9 A 12nm 18.1 TFLOPs/W sparse transformer processor with entropy-based early exit, mixed-precision predication and fine-grained power management
T Tambe, J Zhang, C Hooper, T Jia, PN Whatmough, J Zuckerman, ...
2023 IEEE International Solid-State Circuits Conference (ISSCC), 342-344, 2023
62023
DECADES: A 67mm2, 1.46TOPS, 55 Giga Cache-Coherent 64-bit RISC-V Instructions per second, Heterogeneous Manycore SoC with 109 Tiles including …
F Gao, TJ Chang, A Li, M Orenes-Vera, D Giri, PJ Jackson, A Ning, ...
2023 IEEE Custom Integrated Circuits Conference (CICC), 1-2, 2023
52023
A scalable methodology for agile chip development with open-source hardware components
MC Santos, T Jia, M Cochet, K Swaminathan, J Zuckerman, P Mantovani, ...
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided …, 2022
42022
SoCProbe: Compositional Post-Silicon Validation of Heterogeneous NoC-Based SoCs
G Tombesi, J Zuckerman, P Mantovani, D Giri, MC Dos Santos, T Jia, ...
IEEE Design & Test, 2023
12023
14.5 A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management and Flexible NoC-Based Data Orchestration
MC Dos Santos, T Jia, J Zuckerman, M Cochet, D Giri, EJ Loscalzo, ...
2024 IEEE International Solid-State Circuits Conference (ISSCC) 67, 262-264, 2024
2024
A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management, and Flexible NoC-Based Data Orchestration
MC Dos Santos, T Jia, J Zuckerman, M Cochet, D Giri, E Loscalzo, ...
IEEE International Solid-State Circuits Conference, 2024
2024
The system can't perform the operation now. Try again later.
Articles 1–10