Подписаться
Nathaniel Pinckney
Nathaniel Pinckney
NVIDIA
Подтвержден адрес электронной почты в домене umich.edu - Главная страница
Название
Процитировано
Процитировано
Год
Simba: Scaling deep-learning inference with multi-chip-module-based architecture
YS Shao, J Clemons, R Venkatesan, B Zimmer, M Fojtik, N Jiang, B Keller, ...
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
3482019
Bubble razor: Eliminating timing margins in an ARM cortex-M3 processor in 45 nm CMOS using architecturally independent error detection and correction
M Fojtik, D Fick, Y Kim, N Pinckney, DM Harris, D Blaauw, D Sylvester
IEEE Journal of Solid-State Circuits 48 (1), 66-81, 2012
2122012
Bubble Razor: An architecture-independent approach to timing-error detection and correction
M Fojtik, D Fick, Y Kim, N Pinckney, D Harris, D Blaauw, D Sylvester
2012 IEEE International Solid-State Circuits Conference, 488-490, 2012
1312012
Magnet: A modular accelerator generator for neural networks
R Venkatesan, YS Shao, M Wang, J Clemons, S Dai, M Fojtik, B Keller, ...
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2019
1122019
Swizzle-switch networks for many-core systems
K Sewell, RG Dreslinski, T Manville, S Satpathy, N Pinckney, G Blake, ...
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 2 (2 …, 2012
1062012
Ultra-low-energy all-CMOS modulator integrated with driver
X Zheng, J Lexau, Y Luo, H Thacker, T Pinguet, A Mekis, G Li, J Shi, ...
Optics Express 18 (3), 3059-3070, 2010
1042010
A 0.32–128 TOPS, scalable multi-chip-module-based deep neural network inference accelerator with ground-referenced signaling in 16 nm
B Zimmer, R Venkatesan, YS Shao, J Clemons, M Fojtik, N Jiang, B Keller, ...
IEEE Journal of Solid-State Circuits 55 (4), 920-932, 2020
862020
irazor: Current-based error detection and correction scheme for pvt variation in 40-nm arm cortex-r4 processor
Y Zhang, M Khayatzadeh, K Yang, M Saligane, N Pinckney, M Alioto, ...
IEEE Journal of Solid-State Circuits 53 (2), 619-631, 2017
792017
A modular digital VLSI flow for high-productivity SoC design
B Khailany, E Khmer, R Venkatesan, J Clemons, JS Emer, M Fojtik, ...
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
732018
Assessing the performance limits of parallelized near-threshold computing
N Pinckney, K Sewell, RG Dreslinski, D Fick, T Mudge, D Sylvester, ...
Proceedings of the 49th Annual Design Automation Conference, 1147-1152, 2012
702012
A true random number generator using time-dependent dielectric breakdown
N Liu, N Pinckney, S Hanson, D Sylvester, D Blaauw
2011 Symposium on VLSI Circuits-Digest of Technical Papers, 216-217, 2011
612011
8.8 iRazor: 3-transistor current-based error detection and correction in an ARM Cortex-R4 processor
Y Zhang, M Khayatzadeh, K Yang, M Saligane, N Pinckney, M Alioto, ...
2016 IEEE International Solid-State Circuits Conference (ISSCC), 160-162, 2016
592016
Low-power near-threshold design: Techniques to improve energy efficiency energy-efficient near-threshold design has been proposed to increase energy efficiency across a wid
N Pinckney, D Blaauw, D Sylvester
IEEE Solid-State Circuits Magazine 7 (2), 49-57, 2015
542015
A 0.11 pj/op, 0.32-128 tops, scalable multi-chip-module-based deep neural network accelerator with ground-reference signaling in 16nm
B Zimmer, R Venkatesan, YS Shao, J Clemons, M Fojtik, N Jiang, B Keller, ...
2019 Symposium on VLSI Circuits, C300-C301, 2019
512019
Ultralow-power high-performance Si photonic transmitter
G Li, X Zheng, J Lexau, Y Luo, H Thacker, P Dong, S Liao, D Feng, ...
2010 Conference on Optical Fiber Communication (OFC/NFOEC), collocated …, 2010
512010
Parallelized radix-4 scalable Montgomery multipliers
NR Pinckney, DM Harris
Proceedings of the 20th annual conference on Integrated circuits and systems …, 2007
452007
Pulse-width modulation for microcontroller servo control
N Pinckney
IEEE potentials 25 (1), 27-29, 2006
452006
A 467nW CMOS visual motion sensor with temporal averaging and pixel aggregation
G Kim, M Barangi, Z Foo, N Pinckney, S Bang, D Blaauw, D Sylvester
2013 IEEE International Solid-State Circuits Conference Digest of Technical …, 2013
422013
Randomized value generation
NCY Liu, SM Hanson, N Pinckney, DT Blaauw, DM Sylvester
US Patent 9,075,675, 2015
382015
Parallel high-radix Montgomery multipliers
P Amberg, N Pinckney, DM Harris
2008 42nd Asilomar Conference on Signals, Systems and Computers, 772-776, 2008
362008
В данный момент система не может выполнить эту операцию. Повторите попытку позднее.
Статьи 1–20